您当前的位置: 首页 >  FPGA硅农

【数字IC/FPGA】时序约束--时序例外

FPGA硅农 发布时间:2022-04-15 20:15:14 ,浏览量:4

时序例外
  • 多周期路径
    • 单时钟域
    • 时钟相移
    • 慢时钟到快时钟的多周期
    • 快时钟到慢时钟的多周期
    • 总结
  • 伪路径

多周期路径

之前的时钟周期约束,我们都是按照一个周期来进行时序检查,也就是数据的发起沿和捕获沿差一个周期,如下图所示: 在这里插入图片描述 默认情况下,保持时间的检查是以建立时间的检查为前提,即总是在建立时间的前一个时钟周期确定保持时间检查。如上图所示,数据在时刻1的边沿被发起,建立时间的检查是在时刻2进行,而保持时间的检查是在时刻1,因此保持时间的检查是在建立时间检查的前一个时钟沿。   但在实际的工程中,经常会碰到数据被发起后,由于路径过长或者逻辑延迟过长要经过多个时钟周期才能到达捕获寄存器。又或者在数据发起的几个周期后,后续逻辑才能使用。这时如果按照单周期路径进行时序检查,就会报出时序违规。因此就需要所谓的多周期约束。   下面是vivado中多周期约束命令的用法

set_multicycle_path  [-setup|-hold] [-start|-end][-from ] [-to ] [-through ]

各个参数的意义,如下表所示 在这里插入图片描述 对于建立时间,num_cycles是指多周期路径所需的时钟周期个数;对于保持时间,num_cycles是指相对于默认的捕获沿,实际捕获沿应回调的周期个数。 发起沿和捕获沿可能是同一个时钟,也可能是两个时钟,因此参数start和end就是选择参考时钟是发送端还是接收端。 start表示参考时钟为发送端(发端)所用时钟,对于保持时间的分析,若后面没有指定start或end,则默认为为-start;end表示参考时钟为捕获端(收端)所用时钟,对于建立时间的分析,若后面没有指定start或end,则默认为为-end。 对于单周期路径来说,setup的num_cycles为1,hold的num_cycles为0. 对于多周期路径的分析,我们可以分为以下几种情况:

单时钟域

即发起时钟和捕获时钟是同一个时钟,其多周期路径模型如下图所示。 在这里插入图片描述 如果我们没有指定约束,则工具默认按照下图进行时序分析: 在这里插入图片描述 但如果在实际工程中,我们的数据经过了两个时钟周期才被捕获使用,则建立时间的分析需要再延迟一个周期的时间。采用如下的时序约束可以达到这个效果:

set_multicycle_path 2 -setup -from [get_pins data0_reg/C] -to [get_pins data1_reg/D]

在建立时间被修改后,保持时间也会自动调整到捕获时钟沿的前一个时钟沿,如下图所示。 在这里插入图片描述 很明显,这个保持时间检查是不对的,因为保持时间的检查针对的是同一个时钟沿,因此我们要把保持时间往回调一个周期,需要再增加一句约束:

set_multicycle_path 1 -hold -end -from [get_pins data0_reg/C]  -to [get_pins data1_reg/D]

这里加上-end参数是因为我们要把捕获时钟沿往前移,因此针对的是接收端,但由于我们这边讲的是单时钟域,发送端和接收端的时钟是同一个,因此-end可以省略。这样,完整的时序约束如下:

set_multicycle_path 2 -setup -from [get_pins data0_reg/C] -to [get_pins data1_reg/D]
set_multicycle_path 1 -hold  -from [get_pins data0_reg/C]  -to [get_pins data1_reg/D]

约束完成后,对应的建立保持时间检查则如下图所示: 在这里插入图片描述 下面是在单时钟域下,若数据经过N个周期才被捕获,时序约束的语句:

set_multicycle_path N -setup -from [get_pins data0_reg/C] -to [get_pins data1_reg/D]
set_multicycle_path N-1 -hold  -from [get_pins data0_reg/C]  -to [get_pins data1_reg/D]
时钟相移

我们看下图,如果目的时钟和源时钟差一个固定的相位,则工具建立时间和保持时间的检查应该如下图所示: 在这里插入图片描述 很明显可以看到,这样的建立时间检查过于苛刻,但利好保持时间的检查。为了更具体的说明这个问题,我们假设时钟周期为4ns,接收端的时钟沿比发送端晚了0.3ns,若不进行约束,建立时间只有0.3ns,时序基本不可能收敛;而保持时间则为3.7ns,过于丰富。 因此,在这种情况下,我们应把捕获沿向后移一个周期,约束如下:

set_multicycle_path 2 -setup -from [get_clocks CLK1] -to [get_clocks CLK2]

对setup约束后,hold会自动向后移动一个周期,此时的建立保持时间检查如下: 在这里插入图片描述 此时,建立时间的检查更为宽松,而保持时间的检查比较紧张。 同理,如果目的时钟相对于源时钟相移为负,则时序检查如下图所示: 在这里插入图片描述 从图中可以看到,如果这个相移的量为0.3ns,则此时setup是3.7ns,而保持时间是0.3ns。这两个时间比较合理,因此无需进行约束。

慢时钟到快时钟的多周期

假设捕获时钟频率是发起时钟频率的3倍,在没有任何约束的情况下,Vivado默认会按照如下图所示的建立保持时间进行分析。 在这里插入图片描述 但我们可以通过约束让建立时间的要求更容易满足,即

set_multicycle_path 3 -setup -from [get_clocks CLK1] -to [get_clocks CLK2]

和之前讲的一样,设置了setup,hold会自动变化,但我们不希望hold变化,因此再增加命令:

set_multicycle_path 2 -hold -end -from [get_clocks CLK1] -to [get_clocks CLK2]

这里由于发起和捕获是两个时钟,因此-end参数是不可省的。加上上述的时序约束后,Vivado会按照下面的方式进行时序分析。 在这里插入图片描述

快时钟到慢时钟的多周期

假设发起时钟频率是捕获时钟频率的3倍,在没有任何约束的情况下,Vivado默认会按照如下图所示的建立保持时间进行分析。 在这里插入图片描述 同理,我们可以通过约束,让时序条件更加宽裕。

set_multicycle_path 3 -setup -start -from [get_clocks CLK1] -to [get_clocks CLK2]
set_multicycle_path 2 -hold -from [get_clocks CLK1] -to [get_clocks CLK2]

这里的hold约束中没有加-end参数,这样的话默认就是-start,是因为我们需要把发起时钟回调2个周期,如下图所示。 在这里插入图片描述

总结

在这里插入图片描述

伪路径

伪路径指的是该路径存在,但该路径的电路功能不会发生或者无须时序约束。创建伪路径可以减少工具运行优化时间,增强实现结果,避免在不需要进行时序约束的地方花较多时间而忽略了真正需要进行优化的地方。 伪路径一般用于: 1.跨时钟域 2.一上电就被写入数据的寄存器 3.异步复位或测试逻辑 4.异步双端口RAM 可以看出,伪路径主要就是用在异步时钟的处理上。 伪路径的约束为:

set_false_path [-setup] [-hold] [-from ] [-to ] [-through ]

其中 -from的节点应是有效的起始点,有效的起始点包含时钟对象,时序单元的clock引脚,或者input(or inout)原语。 -to的节点应包含有效的终结点,一个有效的终结点包含时钟对象,output(or inout)原语端口,或者时序功能单元的数据输入端口。 -through的节点应包括引脚,端口,或线网,当单独使用-through时,应注意所有路径中包含-through节点的路径都将被时序分析工具所忽略。 需要注意的是,伪路径的约束是单向的,并非双向的,若两个时钟域相互之间都有数据传输,则应采用如下约束:

set_false_path -from [get_clocks clk1] -to [get_clocks clk2]
set_false_path -from [get_clocks clk2] -to [get_clocks clk1]

当然也可以直接采用如下的方式,这与上述两行约束是等效的:

set_clock_groups -asynchronous -group [get_clocks clk1] -group [get_clocks clk2]
关注
打赏
1688896170
查看更多评论

FPGA硅农

暂无认证

  • 4浏览

    0关注

    244博文

    0收益

  • 0浏览

    0点赞

    0打赏

    0留言

私信
关注
热门博文
立即登录/注册

微信扫码登录

0.0866s